HDL符号发生器

symbolator的Python项目详细描述


http://kevinpt.github.io/symbolator/_static/symbolator_icon.png

符号器

symbolator是一个vhdl和verilog的组件绘图工具。它将解析hdl源文件,提取组件或模块,并将它们呈现为图像。

libraryieee;useieee.std_logic_1164.all;packagedemoiscomponentdemo_deviceisgeneric(SIZE:positive;RESET_ACTIVE_LEVEL:std_ulogic:='1');port(--# {{clocks|}}Clock:instd_ulogic;Reset:instd_ulogic;--# {{control|Named section}}Enable:instd_ulogic;Data_in:instd_ulogic_vector(SIZE-1downto0);Data_out:outstd_ulogic_vector(SIZE-1downto0));endcomponent;endpackage;
> symbolator -i demo_device.vhdl
Scanning library: .
Creating symbol for demo_device.vhdl "demo_device"
          -> demo_device-demo_device.svg

产生以下结果:

http://kevinpt.github.io/symbolator/_images/demo_device-demo_device.svg

符号器可以渲染为PNG位图图像或SVG、PDF、PS和EPS矢量图像。SVG是默认设置。

要求

symbolator需要python 2.7或python 3.x、pycairo和pango。

安装脚本取决于setuptools。来源是写在 Python2.7语法,但在安装程序 通过2to3。

使用pango库计算文本布局的维度。没有安装pango-python绑定的标准包。它是gtk+库的一部分,可以通过pygtk或pygobject api访问,这两个api都由symbolator支持。在安装symbolator之前,您应该确保其中一个库可用。有一个Windows installer可用。对于linux发行版,您应该使用包管理器安装相关的库。

许可

根据麻省理工学院的许可条款,symbolator被许可用于免费商业和非商业用途。symbolator sphinx扩展是从graphviz扩展派生的,并获得bsd许可。

下载

您可以从Github访问symbolator git存储库。您可以使用“pip”从pypi直接安装 命令(如果有)。

文件

完整的文档可以在main Symbolator site上在线获得。

欢迎加入QQ群-->: 979659372 Python中文网_新手群

推荐PyPI第三方库


热门话题
java什么数据库最类似于Map,每个用户/id存储无限多个“键”和“值”?   java仅使用super pom进行测试   内存不足如何解析java。OutOfMemoryError:Java堆空间在增加堆大小的情况下将意味着延迟OutOfMemoryError   来自另一个类的mysql和java jdbc调用[运行时应用程序]   java通过下拉菜单更改搜索框搜索的内容   JAVAlang.ClassNotFoundException:sun。jdbc。odbc。JdbcOdbcDriver   java Selenium点击链接   JavaSpringHibernate:从唯一值列表中获取对象列表   java Bing广告与桌面身份验证问题   java如何在没有任何外部SDK的情况下从安卓打印到收据打印机?   未调用java菜单片段类   java在IDEA和PyCharm中同时为同一个项目工作   java我们如何为同一个异常提供不同的海关信息   jakarta ee中是否预定义了“请求”和“响应”变量或值?   java更好地解决“之前和之后”难题?   尝试将数据从Excel添加到Java   发送电子邮件的Java代码只适用于一个电子邮件id?   java如何从资产解析XML?