vhdl源代码管理:解析、组织、组装、生成测试台

HdlLib的Python项目详细描述


hdllib是一个处理vhdl文件的python模块:
*管理vhdl库,
*组装ips,
*生成测试台,
*解析vhdl实体,
*生成实体接口概要。

**


>;这是python项目的自述文件。

**




----





pip install hdllib
` ` ` ` ` `










` ` ` ` ` ` ` ` ` ` ` ` ` ` ` ` ` `
` ` ` ` ` ` `通过命令行
`hdllib--help`将打印可用的子命令选项。目前,这些文件可用:

|
tbgen从vhdl源(交互式)和解析的实体概要*.png图像生成阿尔斯通的tbgen测试台文件(vhdl+场景文件)。|
概要生成从vhdl源文件解析的实体的概要*.png图像。|
parseregression对给定目录中找到的每个vhdl文件执行vhdl解析器的回归测试(递归)。|

欢迎加入QQ群-->: 979659372 Python中文网_新手群

推荐PyPI第三方库


热门话题
java如何通过解决错误“活动无法转换为片段”将片段传递给类构造函数?   Java中清理Code39条码数据的regex帮助   将java转换为C++   java无法在Android Studio中生成签名的apk,出现错误   从数学方程出发   MySQL和Java内存问题   如何强制Java抛出算术异常?   java为什么JDBC将零端口视为空(默认)端口?   java如何在没有“changelog主题”的情况下加入KStream和KTable   排序我尝试合并两个排序的数组,但得到的是java。lang.ArrayIndexOutofBounds异常:5无法找出原因   如何在java中求大长度矩阵的逆?   基于maven构建的java生成类路径字符串   java每20个字符分割一个字符串,然后将每个部分打印到控制台   将字符串数字字转换为字符串数字:Java   在特定区域使用混合类型的java填充字节数组   尽管java类在开关块中实例化,但它只能调用接口方法