如何在Python中向文件写入REAL32值?

2024-09-29 17:16:17 发布

您现在位置:Python中文网/ 问答频道 /正文

为了创建一个STL file,我需要将一个REAL32值写入二进制IEEE浮点格式的文件中。如何在Python中执行此操作?在


Tags: 文件格式二进制file浮点ieeestlreal32
1条回答
网友
1楼 · 发布于 2024-09-29 17:16:17

你想要^{}

with open(youroutput,'wb') as fout:
    fout.write(struct.pack('<f',300.0))

这里,<表示little-endian,f表示浮点(32位,IEEE)。在


如果要一次写入多个值,请执行以下操作:

^{pr2}$

相关问题 更多 >

    热门问题